手机看片福利永久国产日韩-手机看片369-手机精品在线-手机国产乱子伦精品视频-国产精品嫩草影院在线观看免费-国产精品嫩草影院在线播放

首頁 新聞 > 科技 > 正文

用VHDL設計的任意頻率分頻器

Sometimes I need to generate a clock at a lower frequency than the main clock driving the FPGA. If the ratio of the frequencies is a power of 2, the logic is easy. If the ratio is an integer N, then a divide-by-N counter is only a little harder. But if the ratio isn"t an integer, a little (and I mean a little) math is required. Note that the new clock will have lots of jitter: there"s no escaping that. But it will have no drift, and for some applications that"s what counts. If you have a clock A at frequency a, and want to make a clock B at some lower frequency b (that is, b a), then something like: d = 0; forever { Wait for clock A. if (d 1) { d += (b/a); } else { d += (b/a) - 1; /* getting here means tick for clock B */ } } but comparison against zero is easier, so subtract 1 from d: d = 0; forever { Wait for clock A. if (d 0) { d += (b/a); } else { d += (b/a) - 1; /* getting here means tick for clock B */ } } want an integer representation, so multiply everything by a: d = 0; forever { Wait for clock A. if (d 0) { d += b; } else { d += b - a; /* getting here means tick for clock B */ } } For example. I just bought a bargain batch of 14.1523MHz oscillators from BG but I need to generate a 24Hz clock. So a=14152300 and b=24: d = 0; forever { Wait for clock A. if (d 0) { d += 24; } else { d += 24 - 14152300; /* getting here means tick for clock B */ } } For a hardware implementation I need to know how many bits are needed for d: here it"s 24 bits to hold the largest value (-14152300) plus one more bit for the sign. In VHDL this looks like: signal d, dInc, dN : std_logic_vector(24 downto 0); process (d) begin if (d(24) = "1") then dInc = 0000000000000000000011000; -- (24) else dInc = 1001010000000110110101100; -- (24 - 14152300) end if; end process; dN = d + dInc; process begin wait until A"event and A = "1"; d = dN; -- clock B tick whenever d(24) is zero end process;

關鍵詞: VHDL任意頻率分頻器

最近更新

關于本站 管理團隊 版權申明 網站地圖 聯系合作 招聘信息

Copyright © 2005-2018 創投網 - www.extremexp.net All rights reserved
聯系我們:33 92 950@qq.com
豫ICP備2020035879號-12

 

主站蜘蛛池模板: 伊人精品久久久大香线蕉99| 抱着cao才爽| 久久精品国产一区二区三区| 一个人看的视频www在线| 男人桶女人羞羞漫画全集| 午夜国产在线视频| 狠狠操夜夜操| 日本高清免费一本视频无需下载| 伊人色综合久久天天网| 波多野结衣无限| yy6080午夜一级毛片超清| 又粗又黑又大的吊av| 久久中文字幕一区二区| 波多野结衣中文字幕一区二区三区| 国产综合亚洲专区在线| 欧美日本黄色| 真实处破疼哭视频免费看| 奇米网奇米色| 女人张开腿给男人桶爽免费| 人人超人人97超人人女| 欧美一区二区三区久久综| 一本到在线观看视频| 欧美人与zoxxxx另类| 97色伦图片| 日本夫妇交换| 毛片a级毛片免费播放下载| 亚洲欧美视频一区| 久久九九国产精品怡红院| 欧美精品国产综合久久| 三个黑人上我一个经过| 奇优电影网| 豪妇荡乳1一5白玉兰免费下载| 国产乱子伦| 探花www视频在线观看高清| 国产欧美日韩综合精品一区二区| 一区在线观看| 国产免费全部免费观看| 久re这里只有精品最新地址| 日本高清免费不卡在线播放| 最好看的最新中文字幕2018免费视频| 波多野结衣免费视频观看|